Intel объявляет технологическую дорожную карту, которая вернет свое доминирование в этой области к 2025 году

Рано утром 27 июля Intel провела онлайн-мероприятие, в котором рассказала о планах развития процессоров Intel на следующие 5 лет, а также о новых технологиях изготовления микросхем и корпусов. Intel заявляет, что в 2025 году она вернет себе доминирующее положение в области процессоров.

Из новой дорожной карты видно, что Intel больше не будет принимать правила именования узлов, которые являются общими в отрасли и основаны на технологии нанопроцессов, а будет применять совершенно новую схему именования.

  • Intel 7: 10-нм чип третьего поколения переименован в Intel 7 (заменяет прошлогодний усовершенствованный SuperFin), который обеспечит производительность 10-15% на ватт. В настоящее время он находится в массовом производстве и принесет процессоры потребительского уровня Alder Lake и Sapphire. Центральный процессор данных Rapids.
  • Intel 4: 7-нм узел переименован в Intel 4. По сравнению с Intel 7 производительность на ватт увеличена на 20%. Будет использоваться технология литографии EUV. Первыми прикладными продуктами являются Meteor Lake и Granite Rapids. Meteor Lake будет использовать упаковочную технологию Foveros для поддержки диапазона TDP от 5 до 125 Вт и, как ожидается, будет запущен в конце 2022 года.
  • Intel 3: Ожидается, что узел Intel 3 будет представлен во второй половине 2023 года. Ожидается, что это будет модернизированное приложение, основанное на 7-нм техпроцессе Intel 4. По сравнению с Intel 4 производительность на ватт улучшена примерно на 18%. Хотя об этом четко не сказано, ожидается, что он не появится на рынке не раньше 2024 года.

Короче говоря, 10-нм ESF был переименован в Intel 7, 7-нм был переименован в Intel 4, а 7-нм расширенная версия была переименована в Intel 3.

Кроме того, Intel назвала технологический чип следующего поколения Intel 20A.

Этот A представляет собой единицу «Angström» (Ангстрем, сокращенно Angstrom, символ Å), которая составляет 0,1 нм, а 20A – 2 нм. Это первое официальное объявление Intel о новой транзисторной архитектуре с момента запуска FinFET в 2011 году, которая называется «RibbonFET». Это первая архитектура транзисторов Intel с обходным затвором (GAA), которая обеспечивает более высокую плотность интеграции транзисторов и меньший размер кристалла.

В то же время 20A представит уникальную технологию Intel «PowerVia», которая позволит получать питание с задней стороны микросхемы, избегая прежних требований к проводке блока питания передней панели для оптимизации передачи сигнала.

Самая дальняя наглядная дорожная карта – это Intel 18A, которая примет технологию RibbonFET второго поколения, а узел будет разработан в начале 2025 года. Это также время, когда Intel заявляет о восстановлении своего доминирующего положения в отрасли.

Литейное предприятие Intel IFS также официально объявила о новом покупателе – Qualcomm. Qualcomm будет полагаться на технологический узел Intel 20A в будущем и планирует производить новые чипы Qualcomm на основе литейной технологии Intel 20A, начиная с 2024 года. Кроме того, Amazon также станет еще одним важным клиентом литейного бизнеса Intel.

Источник титульного изображения: laptopmedia.com

Люблю Фанер, сделай будущее доступным.

# Добро пожаловать, чтобы подписаться на официальный аккаунт Aifaner в WeChat: Aifaner (идентификатор WeChat: ifanr), более интересный контент будет предоставлен вам как можно скорее.

Ai Faner | Исходная ссылка · Посмотреть комментарии · Sina Weibo